Радиолюбительские ам передатчики. Маломощный радиовещательный средневолновый ам передатчик

Радиолюбительские ам передатчики. Маломощный радиовещательный средневолновый ам передатчик

Радиовещание в диапазонах СВ - ДВ в основном, прекращено в РФ с 2014 года.
Причиной этого называют экономическую нецелесообразность поддержания устаревших передатчиков этого диапазона, а так же отсутствие комплектующих для их ремонта.
Это можно понять, подобное происходит не только у нас, но и во многих других странах(пока не во всех). Но как быть например, начинающим радиоконструкторам? На страницах многочисленных сайтов, их до сих пор ожидают разнообразные схемы транзисторных приемников прямого усиления.
Отказаться от их реализации совсем? Но электронные схемы сами по себе, не в чем не виноваты и определенно, имеют право на существование.

А что говорить о массе старых, но вполне еще исправных приемников СВ-ДВ диапазонов, оставшихся на руках у населения?
Выход из создавшейся ситуации люди (причем,по всему миру!) нашли легко и быстро.
Если замолчали большие радиостанции широкого вещания, что может помешать заменить их миниатюрными персональными передатчиками? Собрав буквально из нескольких деталей крайне простое устройство можно оживлять приемники СВ-ДВ прямого усиления в радиусе нескольких десятков а супергетеродины - сотен метров. Едва ли это может как раньше, считаться каким-то там пиратством или радиохулиганством. Ведь как вы сами понимаете, диапазон СВ-ДВ оказался фактически, в данный момент - не востребован структурами нашего государства.

Итак, предложенная схема представляет собой генератор синусоидальных колебаний с индуктивной обратной связью, реализованный на одном транзисторе + модулятор на трансформаторе.

Транзистор - любой маломощный высокочастотный структуры p-n-p. Например, германиевые П401, П402, и т. д. - вплоть до П416.
Кремниевые - КТ361, КТ3107 с любой буквой. N-p-n транзисторы(КТ315, КТ3102) тоже можно использовать, но придется поменять полярность питания. L1 для диапазона СВ имеет 100 витков, ДВ - 250 витков провода ПЭЛ 0,1 - 0,25. Катушка L2 15-25 витков такого же провода. Сердечник - отрезок от магнитной антенны малогабаритного транзисторного приемника.

Величина резистора R1 подбирается так, что бы величина эмиттерного тока составляла 15 - 20 мА. Трансформатор для модуляции можно взять любой малогабаритный понижающий сетевой(с 220 до 15-30в), хорошо подойдет трансформатор от старой радиоточки. Сигнал звуковой частоты с выхода усилителя (например, усилителя компьютерных колонок) подается на его низкоомную обмотку(8-12 Ом), ток питания протекает через высокоомную(50-150 Ом).



Для уменьшения габаритов схемы можно самому намотать трансформатор(что я и сделал). Проще всего взять миниатюрный фазоинверсный транс из выходного каскада старого транзисторного приемника, смотать 2 вторичные обмотки, оставив только первичную(у "Альпиниста" - около 120 ом). Затем используя смотанный провод намотать 150 - 200 витков. Конденсаторы С1,С3 можно использовать любых типов, причем С3(4700пФ) можно и не ставить - все отлично работает и без него.


Для питания схемы лучше использовать отдельный блок питания с выходным напряжением 8-10в, элемент "Крона" на самом деле, не лучший вариант - его на долго не хватит.
Конденсатор для подстройки - любой переменный, от малогабаритного транзисторного приемника, воздушный или керамический - неважно. Если он двухсекционный(от супергетеродина) - используется одна секция. В качестве антенны можно использовать провод длиной не менее 10 метров. Для заземления - радиаторы отопления и металлические водопроводные трубы, в отсутствие таковых - зарытый в землю полутораметровый металлический штырь или уголок.

Говоря по правде, если необходимо обеспечить радиотрансляцию в радиусе 10-15 метров, длинной антенны и заземления может и не понадобиться - достаточно будет уровня сигнала, излучаемого магнитной антенной. Но если нужно увеличить дистанцию до 100 и более метров - без них не обойтись. Максимальное расстояние приема при этом, достаточно сильно будет зависить от чувствительности вашего приемника

Ламповый модулятор класса D: позволяет повысить КПД радиопередатчика в режиме АМ до 85-90 % .
В качестве ключевого элемента используется тетрод. Тетрод требует для возбуждения меньших затрат мощности в цепи управляющей сетки, чем триод.
При работе: значительная часть периода частоты коммутации тетрод находится в насыщении, при этом величина остаточного напряжения на аноде мала, следовательно, резко возрастает ток экранирующей сетки. Для устранения недостатка, выбирается режим: чтобы мощность потерь на экранирующей сетке не превосходила допустимый уровень.
К аноду Л1, через Диод(D2) подключен Uдоп. источник постоянного напряжения. Он фиксирует остаточное U аноде в открытом состоянии, и уменьшает i ток экранирующёй сетки, снижает статические потери на экранирующей сетке Л1(не связанных с процессами переключения). Мощность потерь на экранирующей сетке оказывается ограниченной и не будет превосходить допустимый уровень, т. к. i ток экранирующей сетки не может возрасти более величины, определяемой напряжением Uдоп., а мощность потерь на аноде будет в несколько раз меньше допустимого.
Величину напряжения Uдоп следует выбирать исходя из допустимого уровня потерь в цепи экранной сетки при сохранении достаточно высокого КПД. Расчет показывает, что хорошие результаты можно получить при выборе Uдоп ≈0,1 Еа. В данном случае, повышается выходная мощность радиопередатчика с модулятором класса D почти вдвое, при снижении КПД модулятора: на-10%.

Рис.1
Модулирующий сигнал Uвх поступает на вход формирователя ШИМ сигнал, который формирует на управляющей сетке импульсы напряжения, длительность которых пропорциональна величине модулирующего сигнала. Соответственно напряжение на аноде Л1 также имеет форму ШИМ-импульсов. Изменяющаяся в соответствии с модулирующим сигналом, составляющая этого напряжения выделяется фильтром низкой частоты, состоящим из(Др и С). рис.1
Расчет показывает, номинальную выходную мощность радиопередатчика в однотактном модуляторе класса D на тетроде ГУ-81м с 200вт. до 600вт при некотором снижении КПД модулятора (с 95 до 85 %). При этом мощность, рассеиваемая на экранирующей сетке, не будет превосходить допустимый уровень (0,4 кВт), а возрастающая мощность потерь на аноде будет в несколько раз меньше допустимого значения(600Вт).
С целью повышения КПД в двухтактных анодных модуляторах, вместо усилителя класса В - может быть использован модулятор класса D.
В отличие от одноактного усилителя, двухтактный работает при скважности импульсов, равной двум (периодам начальных колебаний), напряжение на выходе модулятора отсутствует, поскольку суммарное среднее значение этих импульсов равно нулю. Напряжение, звуковой частоты Uзв.ч (рис.3) с блока ШИМ (рис.2)преобразуется в две последовательности, широтно-модулированных импульсов G1 и G2 противоположной полярности при скважности импульсов, равной двум начальным переродам колебаний (рис.3),поступают на лампы Л1 и Л2 работающих в ключевом режиме.

Кодированные аудио-импульсы с модулятора ШИМ поступает на вход оптроновой-развязки 6N137. На выходе 6N137: сигнал инвертирован. Поэтому используются два дополнительных буферных инвертирующих элементов D1.1 и D1.3. - (D1-74HC14) инвертирующие триггеры Шмитта.(рис.4)Инвертирование сигнала для нижнего ключа производится инвертором D1.2. Управляющие сигналы верхнего и нижнего ключа поступают на узлы формирования dead-time. Они выполнены на логических элементах «И» D2.1 и D2.2. - (D2-74HC08) . В результате происходит задержка только передних фронтов поступающих импульсов. Величина задержек и, следовательно, dead-time определяется произведениями R3*C3 и R4*C4 и может быть подстроена под параметры силового модуля.Дальнейшая обработка сигналов управления верхнего и нижнего ключа происходит по-разному:
Сигнал нижнего ключа - усиливается на микросхеме MAX4420 и поступает на выход драйвера.
Сигнал верхнего ключа - усиливается на микросхеме MAX4420 и имет «плавающий» потенциал общего провода. Поэтому необходима гальваническая развязка. В данном случае использована трансформаторная развязка с коррекцией постоянной составляющей.
Для частотного диапазона 100-300 кГц и коэффициент заполнения от 0 до 0.5 такое решение работы - вполне удовлетворительно.
Параметры трансформатора: Т1(сердечник М 2500 НМС 16*10*8) обмотка 2*13 вит. Эти значение ориентированы на частотный диапазон 100-300 кГц. Если необходимо работать при более низких частотах, количество витков нужно увеличить.а наболее высоких частотах количество витков нужно уменьшить. Монтаж драйвера полумоста на рис.5

Рис. 5 вариант компоновки и конструкция драйвера.

Рис.3
На рис.3 представлена схема: к нагрузке подводится переменная составляющая (напряжение звуковой частоты) через разделительный Cp а постоянная составляющая - через модуляционный дроссель Lg.С целью предотвращения обрывов тока через индуктивность Lф при переключении ламп Л1и Л2 используются диод D1 и D2 ,шунтирующие лампы Л1и Л2 и пропускающие токи ivD1 и ivD2 в требуемые интервалы времени В соответствии с направление тока в нагрузке и в дросселе положительный полупериод усиленного напряжения работает только Л1 и D2.,а в отрицательныйЛ2 и D1.
Напряжение на выходе модулятора отсутствует, поскольку суммарное среднее значение этих импульсов равно нулю. Зависимости изменения величин средних токов через лампы и диоды, отнесенные к пиковому значению. Зависимость мощности, отдаваемой двухтактным модулятором на выходной каскад передатчика от коэффициента АМ зависимость и получения КПД.
Покатому принципу построены анодные модуляторы для радиовещательных передатчиков до 500квт. Разработанные фирмой Маркони.

Повышение эффективности мощных радиопередающих устройств / Под ред. А. Д. Артыма:Связь1987.
Зарубежные радиопередающие устройства / Под ред. Г. А. Зейтленка, А. Е. Рыжкова - М. : Радио и связь, 1989.
Патент США N 4272737, кл. H 03 F 3/217, 1981.

Передатчик состоит из следующих блоков: задающий генератор; буферный каскад; выходной каскад; модулятор.

Задающий генератор.

Задающий генератор собран по схеме емкостной трехточки на лампе 6П44С. Контурная катушка намотана на каркасе диаметром 20 мм, проводом диаметра 0,8мм, 40 витков. Для достижения стабилизации частоты в управляющей сетке необходимо использовать конденсаторы КСО группы Г +-5%.


Буферный каскад

Буферный каскад предназначен для развязки задающего генератора от последующих каскадов, что способствует стабильности частоты генерации. В этом же каскаде происходит амплитудная модуляция несущей частоты. Модулятор должен быть ламповый, который обеспечивает на выходе модуляционного трансформатора 200 вольт и выше.

Выходной каскад

Дроссель Др1 намотан проводом 0,23-0,35 мм на керамическом каркасе диаметром 10-15мм, четыре секции по 80 витков в навал. Дроссель Др2 намотан тремя проводами 0,5 мм на толстом ферритовом стержне. Дроссели в цепи накала намотаны также на ферритовых стержнях проводом 1,0-1,5 мм. Дросселя мотаются до полного заполнения стержня оставив место для его крепления. Контурная катушка мотается на каркасе диаметром 50мм проводом 2,0 мм, количество витков 35-38


Модулятор для АМ передатчика

Модулятор представляет собой 4-х каскадный усилитель низкой частоты. Микрофонный усилитель выполнен на одной половинке 6Н2П. Микрофон используется электретный (таблетка). С1 ограничивает его по высоким частотам, чтобы избежать возбуждений. Сопротивления R1 и R2 определяют напряжение на микрофоне (влияет на чувствительность) оно должно быть в пределах 1,5…3,0 в (зависит от типа микрофона). Конденсатор С3 не допускает попадания высокого постоянного напряжения на последующие каскады. Дальше идёт двухкаскадный усилитель напряжения. Сигнал на него поступает с сопротивления R4 «громкость». Сопротивление R9 – это регулятор громкости линейного входа (магнитофон, проигрыватель компакт дисков, компьютер и т.д.), также он является регулятором тембра для микрофонного входа. Усилитель мощности звука собран на 6П3С. Усилитель нагружен на трансформатор, который можно намотать самому, данные показаны на схеме. Хорошо также работает силовой трансформатор со стареньких телевизоров «Рекорд», «Весна» (ТС-180). При подключении к передатчику, возможно, понадобиться изменять полярность подключения вторичной обмотки.


Антенна

Передатчик был нагружен на антенну типа "Американка". Длина антенны 48м из провода 1,6мм. Передатчик подключался проводом 1,0мм. Снижение подключается на расстоянии 1/3 всей длинны.

АМ ПЕРЕДАТЧИК на 3 МГц

Передатчик состоит из четырех каскадов. У автора были использованы практически все БУ детали, выпаянные в разное время из разной техники , и долгие года валявшиеся в коробках. Выходная мощность передатчика не замерялась, по приблизительным расчетам составляет около 5 Ватт +/-, но скорей всего плюс. Задающий генератор собран по схеме классической трехточки, и несмотря на его простоту, частоту держит стабильно. Буферный каскад на VT2 нагружен на широкополосный трансформатор, не охота было ставить контура, а затем выравнивать характеристику по всему диапазону, мароки больше и детали лишние , а тут одним махом, а точнее одним трансформатором. Буферный каскад является нагрузкой модулятора собранного на микросхеме УНЧ LM386. Схему модулятора автор взял у японских радиолюбителей, опробовал и остался доволен, Ну и наиболее ответственная часть - оконечный каскад. Собран он на транзисторе выдернутом из какой- то корейской магнитолы. Стоявший в первом варианте КТ805БМ надежд не оправдал, и был, с позором демонтирован с передатчика. В результате операции конструкция не пострадала, но был подвергнут испытаниям патриотический дух автора. Однако, вставив для проверки в конструкцию 2Т921А, душевное равновесие восстановилось. Даже больше, появилась гордость за нашу оборонную промышленность. Но было решено оставить «корейца» как наиболее оптимальный вариант, да и к радиатору его крепить легче. Режим работы каскада устанавливается резистором R12. Диод D4 служит для стабилизации тока покоя. Крепить его необходимо на радиатор непосредственно возле выходного транзистора. На корейском транзисторе автор подсунул диод непосредственно под транзистор, так как там было место. Желательно место крепления промазать теплопроводной пастой.

Детали конструкции: конденсатор переменной емкости ставил с воздушным диэлектриком от лампового приемника. Можно поставить практически любой КПЕ, главное чтоб перекрывал диапазон 2.8 - 3.2 мГц.

Катушка L1 задающего генератора имеет 80 витков провода ПЭЛ - 0.32 с отводом от 20 витка. Катушки L2;L3 одинаковые и имеют по 20 витков провода ПЭЛ - 0,6.
Все катушки намотаны на каркасах диаметром 12 мм.
В качестве каркасов автор применил полистироловый каркас от катушки с нитками.
Тр1 намотан на ферритовом колечке диаметром 10 мм и высотой 5 мм. Двадцать витков сложенного и слегка скрученного провода ПЭЛШО - 0.25. Намотка ведется равномерно по всему кольцу.
Тр2 намотан на таком же кольце и содержит 18 витков сложенного втрое провода ПЭЛ - 0.32.

L4 - 30 витков ПЭЛШО - 0.25 на таком же колечке что и Тр 1 ;2. Для L4 можно применить кольцо и с меньшими габаритами.

ВНИМАНИЕ:
Прежде чем приступить к настройке необходимо к выходу передатчика подключить к нагрузку 50 - 75 Ом. У автора в качестве нагрузки стояли два соединенных параллельно резистора по 100 Ом, мощностью 2 Вт каждый.

НАСТРОЙКА:
Настройку начинают с проверки питания, предварительно установив переменный резистор R12 в положение максимального сопротивления. Включив между схемой и источником питания амперметр (мультиметр) установленный на максимум, обычно это 10 А подают питание. Если показания не сильно изменились, то можно переходить собственно к настройке. Отключите вывод Тр1, который идет на С24 так чтобы питание с модулятора не поступало на каскад. Подключите миллиамперметр между питанием +24 и правым выводом трансформатора Тр2. Подключаем питание, и резистором R12 устанавливаем ток покоя выходного каскада около 30 мА. Затем восстанавливаем все соединения, контролируем сигнал частотомером или приемником наличие генерации. Затем выставляем середину диапазона и конденсаторами С19 - С21 настраиваем выходной фильтр по максимуму показаний индикатора. Подключаем антенну, еще раз корректируем С21 и настройка завершена.

Приведена принципиальная схема любительского радиопередатчика, работающего в диапазоне средних волн (СВ) с амплитудной модуляцией.

Как известно, средние волны радиовещательного диапазона уже покинули многие радиостанции, окончательно перейдя наУКВ. И этому есть вполне объективные причины. Вот я вчера включил приемник на СВ (MW), и кроме атмосферных шумов ничего не услышал.

Правда, вечером что-то едва прослушивалось сильно издалека, и на совсем непонятном языке. И вот, наше уважаемое Федеральное Агентство Связи решило оживить обстановку, и выделить для индивидуального радиовещания полосу частот 1449-1602 кГц, то есть «верхушку» СВ радиовещательного диапазона. Что само по себе, весьма разумно, хотя и поздновато.

24 апреля сего года Федеральное Агентство Связи разослало информационные письма на эту тему всем заинтересованным, по их мнению, лицам. Желающие изучить вопрос максимально полно, могут обратиться на сайт cqf.su. Вся документация там есть, либо ссылки на неё.

Вкратце, суть дела в том, что индивидуальное радиовещание в РФ теперь официально разрешено. Можно самостоятельно разрабатывать, изготавливать аппаратуру для индивидуального радиовещания, и свободно публиковать эти разработки в радиотехнической литературе.

Что нужно знать радиолюбителю, пожелавшему испытать себя в деле индивидуального радиовещания:

  1. Диапазон, на частоте в котором должен работать передатчик лежит в пределах 1449-1602 кГц. При этом, сетка частот в нем с шагом в 9 кГц. То есть, можно посчитать, 1449 кГц, 1458 кГц, 1467 кГц и т.д. Выход за пределы сетки не допускается, и будет наказываться.
  2. Мощность передатчика для учебных и демонстрационных целей может быть не более 1 Вт.
  3. Мощность передатчика для школьных радиокружков - не более 25 Вт.
  4. Мощность передатчика для центров детского и подросткового технического творчества - до 50 Вт.
  5. Мощность передатчика для технических колледжей и техникумов, а так же, индивидуальных радиовещателей - до 100 Вт.
  6. Мощность передатчика для технических ВУЗов - до 250 Вт.
  7. Мощность передатчика для технических университетов и клубов индивидуальных радиовещателей -до 500 Вт.
  8. Тип излучения, - с амплитудной модуляцией, с полосой модулирующего сигнала 50-8000 Гц - 16K0A3EEGN, соответственно второму тому Регламента Радиосвязи.
  9. Ну и теперь, как полагается, «ложка дегтя», - необходимо зарегистрироваться как СМИ, получить лицензию, разрешение на использование частоты, и произвести ввод в эксплуатацию оборудования. И все это на тех же условиях, что и для профессиональных радиовещателей. Так что, сами понимаете...

Как бы там ни было, но «творчество поперло». Ну, как же, такая новая тема для приложения обожженных паяльником рук и прокопченных канифолью мозгов! И вот что, лично у меня, «выперло»:

За долгие годы существования радиолюбительства было создано и опубликовано множество схем передатчиков для работы в диапазоне 160 метров. Подвинуть частоту такого передатчика в диапазон 1449-1602 кГц здесь будет уже совсем не сложно.

Соответственно, принять меры к стабилизации частоты несущей (в простейшем случае кварцевым резонатором). Остается завести амплитудную модуляцию, например, по питанию выходного каскада усилителя мощности. Ну и, практически, дело сделано, можно идти по кабинетам собирать бумажки...

Принципиальная схема передатчика

На рисунке показана схема простого передатчика, в принципе, удовлетворяющего требованиям «для учебных и демонстрационных целей».

Практически, это слегка измененный передатчик Я. С. Лаповка (Л.1), частота которого сдвинута в нужный диапазон путем замены кварцевого резонатора, и перестройкой контура, плюс, заведена амплитудная модуляция в выходной каскад.

И вот, готов передатчик «для учебных и демонстрационных целей» или «пионерлагеря».

Рис. 1. Принципиальная схема АМ передатчика на вещательный диапазон 1449-1602 кГц.

Кварцевый резонатор Q1 задает частоту несущей, он должен быть на ту частоту, на которой планируется вести вещание, то есть на частоту в диапазоне 1449-1602 кГц с учетом сетки с шагом в 9 кГц (например, на 1467 кГц).

Пожалуй, кварцевый резонатор в этой схеме наиболее трудно доступная деталь. Впрочем, эта проблема решается. Можно приобрести резонатор на наиболее близкую частоту, отличающуюся на несколько кГц от нужной. И подогнать включением последовательно ему дополнительной емкости или индуктивности.

Не говоря уже об известных механических способах доводки частоты кварцевого резонатора.

Амплитудная модуляция осуществляется с помощью схемы на транзисторах VТЗ и VТ4. Транзистор VТЗ регулирует питание выходного каскада передатчика. Сигнал НЧ поступает на базу VТ4.

Режим работы схемы модуляции устанавливают подстроечным резистором R6, регулирующим напряжение смещения на базе VТ4.

Детали передатчика

Катушка L1 - готовый дроссель на ток до 2А индуктивностью 10 мкГн. Катушка L2 намотана проводом ПЭВ-2 0,43 на каркасе диаметром 16 мм и содержит 70 витков, намотка ведется «виток к витку». Катушка связи L3 намотана поверх витков L2 таким же проводом, ее число витков подбирается под конкретную антенну.

Налаживание

При налаживании, режим работы каскада на VТ1 выставляют до установки кварцевого резонатора. Подбором R1 добиваются напряжения 5-6V на его эмиттере. Затем замкнуть перемычкой коллектор-эмиттер VТ3, и подбором сопротивления R3 выставить ток покоя VТ2 на уровне 60-80 mA.

После этого подключить резонатор и выполнить настройку передатчика под конкретную антенну. Удалить перемычку с VТ3 и настроить схему модулятора резистором R6.

И в заключение, хочу высказать свое личное мнение относительно этой инициативы. Конечно, отдать кусок уже пустого радиовещательного диапазона под любительское радиовещание, сама по себе идея хорошая, хотя и запоздалая лет на двадцать. К тому же бюрократия, как обычно, может все испортить.

На мой взгляд, здесь следовало бы применить такие же правила, что и для любительской радиосвязи на КВ-диапазонах. То есть, зарегистрировать позывной, категорию (максимальную мощность), и позволить вещать на любой свободной в данный момент частоте диапазона 1449-1602 кГц. Ну, может быть, заставить подписать какие-то документы, ограничивающие тематику вещания (чтобы не было всякой незаконной деятельности).

Было бы очень интересно разрешить там и частное цифровое радиовещание. В противном случае, дело может засохнуть на корню.

Снегирев И. РК-08-16.

Литература:

  1. Лаповок Я. С. Твой первый передатчик. Р-2002-08.
  2. cqf.su.
просмотров