Ардуино: ультразвуковой дальномер HC-SR04. Ультразвуковой датчик расстояния Ардуино HC-SR04 Дальномер us 100 принципиальная схема

Ардуино: ультразвуковой дальномер HC-SR04. Ультразвуковой датчик расстояния Ардуино HC-SR04 Дальномер us 100 принципиальная схема

HC-SR04 один из самых распространённых и самый дешевый дальномер в робототехники. Он позволяет измерять расстояния от 2см до 4м (может и больше) с приличной точностью 0,3-1см. На выходе цифровой сигнал, длительность которого пропорционально равна расстоянию до препятствий.

Ультразвуковой дальномер

Данный датчик был мною уже давно приобретен и лежал в своей коробочке почти забытый. Но в рамках одного проекта был извлечен на белый свет и, для ознакомления, на основе него и платы вольтметра был построен достаточно компактный дальномер.

УЗ дальномер HC-SR04

Характеристики датчика:

Питание — 5В
Потребляемый ток — менее 2мА
Эффективный угол обзора — 15гр
Измеряемое расстояние — 2см - 5м
Точность — 3мм
Взяты из документации к датчику

Принцип работы HC-SR04

Принцип работы

У модуля есть 4 вывода, два из которых это питание - земля и +5В, а еще два - данные. Опрос модуля производится следующим способом: на вывод Trig посылается импульс длительностью 10мкс. Дальномер генерирует посылку из 8 ультразвуковых 40КГц импульсов. Которые, отражаясь от большинства поверхностей, возвращаются обратно, если не угаснут в пути. Сразу после отправки сигнала на Trig начинаем ожидать ответного положительного сигнала выводе Echo, длительностью от 150мкс до 25мс, который пропорционален расстоянию до объекта. Точнее времени прохождения от датчика до препятствия и обратно. Если ответа нет (датчик не услышит своего эха) - то сигнал вернется длиною в 38 мс. Расстояние до объекта (препятствия) вычисляется по следующей простой формуле:

Где: L - расстояние в сантиметрах до объекта, а F - длина импульса на выводе Echo.
Рекомендуемое время опроса датчика 50мс или 20Гц.

Первые тесты этого модуля проводил при помощи цифрового осциллографа, который ловил ответ от модуля и в ручную, быстрым замыканием Trig на + питания, пытался получить стартовый 10мкс импульс. В половине случаев получалось [:)] .

Конструкция

Датчик был подключен к плате вольтметра с общим анодом, немного модифицированному для работы с ним (убраны ненужные делитель с конденсатором и добавлен вывод от RA3). Был применен микроконтроллер от 5 версии вольтметра - PIC16F688, с переработанной для УЗ дальномера прошивкой.

Некоторые замечания:
Все детали, нужные для создания ультразвукового дальномера по этой схеме продаются в чипидипе, стоит около 500-900р за все(точно не помню - денег много было, не считал:-). (корпус, пищалки, разъемы прочее)
Некоторые коментарии по схеме ультразвукового дальномера:
1. Пищалки можно юзать любые, под разные задачки лучше разные ... для моей задачи - чем болше габариты тем лучше, угол 50.
2. Можно попробывать использовать только одну относительно дорогую AD822 а на место компаратора чего-нить по дешевле (у меня просто не было ничего другого под рукой вообще)
3. В меге для генерации 40 килогерц можно использовать таймер, для этого нужно подобрать другой резонатор. (у меня были только 16 и 12.. они не подходят)
4. Скорость звука в воздухе вообще-то зависит от температуры - если очень важна точность (мне она пофигу) то учитывай это
5. Заметь- что на картинке дальномера в корпусе - пищалки не касаются пластмассы - один чел говорил, что при мегаточной настройки (данная схема способна и на такое) звук от пищалки до микрофона будет передаваться по корпусу, по этому лучше перестраховаться
6. Пример простейшей прошивки меги на си(под эту схему) можно посмотреть
7. Программатор лучше использовать STK200/300 он же avreal - софт и схему можно дернуть
8. По уму в прошивке надо отслеживать и начало и конец "пачки", в примере только начало(точность вырастет конкретно).. может допишу - выложу.
9. Пищалка очень любит 40кгц - чуть в сторону уже совсем не то... наверное правду в мануале пишут, что резонансная:-)
10. НА схеме неспроста в излучателе понапиханы транзисторы - желающим дать больше вольт чем 12 - велком - один чел говорил, что будет пищать громче(считай дальше). Я этого делать не стал по трем причинам: во первых 24 вольта еще где-то найти надо, во вторых текущаа версия при соотв настройке ризистора итак видит стену за 4 метра, т.е. мне не где испытывать его, да и не нужно. Ну а третья причина этот же чел говорил, что пищалки имеют тенденцию дохнуть на этом вольтаже
11. Общий совет: можно найти все резисторы и конденсаторы в нерабочем блоке питания от компа ATX(они там все где-то 1/8 вата) - денег сэкономишь!
12. Ошибочное мнение, что ультразвук издаваемый пищалкой как-то могут услышать собики и прочие твари, он на них плохо влияет: у меня собака пришла как то ночью и уснула напротив пищалки включенной.
13. Еще - так просто к сведенью - меги и прочие 8битный контроллеры от атмела - гонятся отлично.. у меня в некоторых задачках вместо положенных 16 работают на 24 и нормально.
14. При устрановке R5 выше килоома (10, 50, 100) получится очень большое усиление, и скорее всего понадобятся рупора, зато дальность измерений сильно вырастет.
15. Вместо устрановки рупоров (при большом R5) см. выше, можно модернизировать прошивку, что б она не ждала в начальный момент времени полезный сигнал. Но тогда нельзя будет мерять расстояния около 10 см и меньше.

Коментраий к совету 8 - желтым обозначен момент срабатывания прерывания МК ультразвукового дальномера на приеме, собственно можно ограничится именно этим первым моментом, подождать чуть-чуть и делать следующее измерение, генерая следущюю пачку импульсов - а время полета звука считать временем от первого посланного импульса(или последнего не суть важно) до ПЕРВОГО принятого.
Второй вариант - обозначен красным - более точный - поскольку пачка импульсов как правило доходит отнюдь не в идеальном виде и не полностью (может не быть пары тройки первых или последних импульсов), собствено даже на картинке видно, что она "сплющилась" по краям, хотя отправлялся идеальный прямоугольник импульсов - так вот: суть в том, что середина пачки должна оставаться на месте несмотря на то, что края ее уже могут не почувствоваться компаратором. Так что точность в несколько.. (милиметров надо думать) зависит от того учитывалась в прошивке ультразвукового дальномера середина или только начало пачки при приеме ее обратно.

Дальномер — это устройство для измерения расстояния до некоторого предмета. Дальномер помогает роботам в разных ситуациях. Простой колесный робот может использовать этот прибор для обнаружения препятствий. Летающий дрон использует дальномер для баражирования над землей на заданной высоте. С помощью дальномера можно даже построить карту помещения, применив специальный алгоритм SLAM.

1. Принцип действия

На этот раз мы разберем работу одного из самых популярных датчиков — ультразвукового (УЗ) дальномера. Существует много разных модификаций подобных устройств, но все они работают по принципу измерения времени прохождения отраженного звука. То есть датчик отправляет звуковой сигнал в заданном направлении, затем ловит отраженное эхо и вычисляет время полета звука от датчика до препятствия и обратно. Из школьного курса физики мы знаем, что скорость звука в некоторой среде величина постоянная, но зависящая от плотности среды. Зная скорость звука в воздухе и время полета звука до цели, мы можем рассчитать пройденное звуком расстояние по формуле: s = v*t где v — скорость звука в м/с, а t — время в секундах. Скорость звука в воздухе, кстати, равна 340.29 м/с. Чтобы справиться со своей задачей, дальномер имеет две важные конструктивные особенности. Во-первых, чтобы звук хорошо отражался от препятствий, датчик испускает ультразвук с частотой 40 кГц. Для этого в датчике имеется пьезокерамический излучатель, который способен генерировать звук такой высокой частоты. Во-вторых, излучатель устроен таким образом, что звук распространяется не во все стороны (как это бывает у обычных динамиков), а в узком направлении. На рисунке представлена диаграмма направленности типичного УЗ дальномера. Как видно на диаграмме, угол обзора самого простого УЗ дальномера составляет примерно 50-60 градусов. Для типичного варианта использования, когда датчик детектирует препятствия перед собой, такой угол обзора вполне пригоден. Ультразвук сможет обнаружить даже ножку стула, тогда как лазерный дальномер, к примеру, может её не заметить. Если же мы решим сканировать окружающее пространство, вращая дальномер по кругу как радар, УЗ дальномер даст нам очень неточную и шумную картину. Для таких целей лучше использовать как раз лазерный дальномер. Также следует отметить два серьезных недостатка УЗ дальномера. Первый заключается в том, что поверхности имеющие пористую структуру хорошо поглощают ультразвук, и датчик не может измерить расстояние до них. Например, если мы задумаем измерить расстояние от мультикоптера до поверхности поля с высокой травой, то скорее всего получим очень нечеткие данные. Такие же проблемы нас ждут при измерении дистанции до стены покрытой поролоном. Второй недостаток связан со скоростью звуковой волны. Эта скорость недостаточно высока, чтобы сделать процесс измерения более частым. Допустим, перед роботом есть препятствие на удалении 4 метра. Чтобы звук слетал туда и обратно, потребуется целых 24 мс. Следует 7 раз отмерить, прежде чем ставить УЗ дальномер на летающих роботов.

2. Ультразвуковой дальномер HC-SR04

В этом уроке мы будем работать с датчиком HC-SR04 и контроллером Ардуино Уно. Этот популярный дальномер умеет измерять расстояние от 1-2 см до 4-6 метров. При этом, точность измерения составляет 0.5 — 1 см. Встречаются разные версии одного и того же HC-SR04. Одни работают лучше, другие хуже. Отличить их можно по рисунку платы на обратной стороне. Версия, которая работает хорошо выглядит так:

А вот версия, которая может давать сбои:

3. Подключение HC-SR04

Датчик HC-SR04 имеет четыре вывода. Кроме земли (Gnd) и питания (Vcc) еще есть Trig и Echo. Оба этих вывода цифровые, так что подключаем из к любым выводам Ардуино Уно:
HC-SR04 GND VCC Trig Echo
Arduino Uno GND +5V 3 2
Принципиальная схема устройства Внешний вид макета

4. Программа

Итак, попробуем приказать датчику отправить зондирующий ультразвуковой импульс, а затем зафиксируем его возвращение. Посмотрим как выглядит временная диаграмма работы HC-SR04.
На диаграмме видно, что для начала измерения нам необходимо сгенерировать на выводе Trig положительный импульс длиной 10 мкс. Вслед за этим, датчик выпустит серию из 8 импульсов и поднимет уровень на выводе Echo , перейдя при этом в режим ожидания отраженного сигнала. Как только дальномер почувствует, что звук вернулся, он завершит положительный импульс на Echo . Получается, что нам нужно сделать всего две вещи: создать импульс на Trig для начала измерения, и замерить длину импульса на Echo, чтобы потом вычислить дистанцию по нехитрой формуле. Делаем. int echoPin = 2; int trigPin = 3; void setup() { Serial.begin (9600); pinMode(trigPin, OUTPUT); pinMode(echoPin, INPUT); } void loop() { int duration, cm; digitalWrite(trigPin, LOW); delayMicroseconds(2); digitalWrite(trigPin, HIGH); delayMicroseconds(10); digitalWrite(trigPin, LOW); duration = pulseIn(echoPin, HIGH); cm = duration / 58; Serial.print(cm); Serial.println(" cm"); delay(100); } Функция pulseIn замеряет длину положительного импульса на ноге echoPin в микросекундах. В программе мы записываем время полета звука в переменную duration. Как мы уже выяснили ранее, нам потребуется умножить время на скорость звука: s = duration * v = duration * 340 м/с Переводим скорость звука из м/с в см/мкс: s = duration * 0.034 м/мкс Для удобства преобразуем десятичную дробь в обыкновенную: s = duration * 1/29 = duration / 29 А теперь вспомним, что звук прошел два искомых расстояния: до цели и обратно. Поделим всё на 2: s = duration / 58 Теперь мы знаем откуда взялось число 58 в программе! Загружаем программу на Ардуино Уно и открываем монитор последовательного порта. Попробуем теперь наводить датчик на разные предметы и смотреть в мониторе рассчитанное расстояние.

Задания

Теперь, когда мы умеем вычислять расстояние с помощью дальномера, сделаем несколько полезных устройств.
  1. Строительный дальномер. Программа каждые 100мс измеряет расстояние с помощью дальномера и выводит результат на символьный ЖК дисплей. Для удобства полученное устройство можно поместить в небольшой корпус и запитать от батареек.
  2. Ультразвуковая трость. Напишем программу, которая будет «пищать» зуммером с различной частотой, в зависимости от измеренного расстояния. Например, если расстояние до препятствия более трех метров — зуммер издает звук раз в пол секунды. При расстоянии 1 метр — раз в 100мс. Менее 10см — пищит постоянно.

Заключение

Ультразвуковой дальномер — простой в использовании, дешевый и точный датчик, который отлично выполняет свою функцию на тысячах роботов. Как мы выяснили из урока, у датчика есть недостатки, которые следует учитывать при постройке робота. Хорошим решением может стать совместное использование ультразвукового дальномера в паре с лазерным. В таком случае, они будут нивелировать недостатки друг друга.

В статье я расскажу о том, как я делал лазерный дальномер и о принципе его работы. Сразу отмечу, что конструкция представляет собой макет, и ее нельзя использовать для практического применения. Делалась она только для того, чтобы убедится в том, что фазовый дальномер реально собрать самому.

Теория

Часто приходится встречать мнение, что с помощью лазера расстояние измеряют только путем прямого измерения времени «полета» лазерного импульса от лазера до отражающего объекта и обратно. На самом деле, этот метод (его называют импульсным или времяпролетным, TOF) применяют в основном в тех случаях, когда расстояния до нужного объекта достаточно велики (>100м). Так как скорость света очень велика, то за один импульс лазера достаточно сложно с большой точностью измерить время пролета света, и следовательно, расстояние. Свет проходит 1 метр примерно за 3.3 нс, так что точность измерения времени должна быть наносекундная, хотя точность измерения расстояния при этом все равно будет составлять десятки сантиметров. Для измерения временных интервалов с такой точностью используют ПЛИС и специализированные микросхемы.

Видео работы дальномера:

Дальность работы у получившегося дальномера вышла достаточно небольшая: 1,5-2 м в зависимости от коэффициента отражения объекта.
Для того, чтобы увеличить дальность, можно использовать специальный отражатель, на который нужно будет направлять луч лазера.
Для экспериментов я сделал линзовый отражатель, состоящий из линзы, в фокусе которой расположена матовая бумага. Такая конструкция отражает свет в ту же точку, откуда он был выпущен, правда, диаметр луча при этом увеличивается.
Фотография отражателя:

Использование отражателя:


Как видно, расстояние до отражателя - 6.4 метра (в реальности было примерно 6.3). Сигнал при этом возрастает настолько, что его приходится ослаблять, направляя луч лазера на край отражателя.

Точность получившегося дальномера - 1-2 сантиметра, что соответствует точности измерения сдвига фаз - 0,2-0,5 градуса. При этом, для достижения такой точности, данные приходится слишком долго усреднять - на одно измерение уходит 0.5 сек. Возможно, это связано с использованием PLL для формирования сигналов - у него довольно большой джиттер. Хотя я считаю, что для самодельного макета, аналоговая часть которого сделана довольно коряво, в котором присутствуют достаточно длинные провода, даже такая точность - довольно неплохо.
Отмечу, что я не смог найти в Интернете ни одного существующего проекта фазового дальномера (хотя бы со схемой конструкции), что и послужило причиной написать эту статью.

Теги:

  • лазерный дальномер
  • stm32
  • stm32f4discovery
Добавить метки

Ультразвуковой датчик расстояния HC-SR04 (и схожие модули) используют ультразвуковые волны, чтобы определить дистанцию до объекта.

Вообще говоря, нам нужно будет выяснить расстояние до объекта, потому что сам датчик просто учитывает время, которое уходит на то, чтобы поймать эхо от отправленных им звуковых волн. Это происходит таким образом:

  1. Модуль посылает звуковые волны, в то же время подавая напряжение на особый пин эха.
  2. Модуль ловит отраженный сигнал и снимает напряжение с пина.

Это все, что делает ультразвуковой дальномер. Дистанцию мы можем определить сами, ведь мы знаем, сколько времени заняло у звука на то, чтобы совершить путешествие от модуля и обратно (по тому, насколько долго пин эха был под напряжением), а также мы знаем скорость звука в воздухе. Но мы не будем сильно вдаваться в детали и позволим Arduino сделать все вычисления.

Кстати, несмотря на то, что принцип работы всех ультразвуковых датчиков одинаков, НЕ ВСЕ ИЗ НИХ производят одинаковый вывод напряжения на пин эха. Так что, если у вас модуль, отличный от HC-S04, то уделите внимание четвертому шагу, где описаны возможные проблемы, и проверьте, возможно, ваш модуль есть в списке. Если его там нет, то придётся разбираться своими силами.

Шаг 1: Сборка железа своими руками

Сборка очень проста (собирайте всё отключенным от напряжения):

  1. Соедините 5V от Ардуино с пином VCC на модуле
  2. Соедините GND от Ардуино с GND на модуле
  3. Соедините цифровой пин 7 на Ардуино с пином Trig на модуле
  4. Соедините цифровой модуль 8 на Ардуино с пином Эхо на модуле

Шаг 2: Программирование модуля HC-SR04

Для того чтобы видеть результаты работы программы, нужно запустить serial monitor на Ардуино. Если вы не знакомы с этой функцией, то сейчас самое время открыть её и узнать о ней побольше — это отличная вещь, помогающая отладить код. В интерфейсе Ардуино посмотрите в правый угол, там вы найдёте кнопку, запускающую серийный монитор, она похожа на увеличительное стекло, кликните на ней и монитор откроется (или выберите TOOLS/Serial Monitor, или нажмите Ctrl+Shift+M).

Вот набросок рабочей программы:

// Начала Скетча для Аруино —
// Определяем константы (константы не изменяются и если вы попробуете переопределить их то получите ошибку во время компиляции)
const int triggerPin = 7; // создаёт константу с именем «triggerPin» и назначает на неё цифровой пин 7
const int echoPin = 8; // создаёт константу с именем «echoPin» и назначает на неё цифровой пин 8
// Определяем переменные (переменные могут изменяться и обычно изменяются по ходу программы, в них могут содержаться какие-либо рассчитываемые значения)
int duration = 0; // создаёт переменную с именем «duration» для хранения значения, возвращаемого pulseIn, изначально значение задаётся равным «0»
int distance = 0; // создаёт переменную для хранения значения, рассчитанного в качестве расстояния до объекта, находящегося перед датчиком, изначально значение задаётся равным «0»
void setup() // В этой секции можно настроить вашу плату и другие параметры, необходимые для работы вашей программы.
{
Serial.begin(9600); // инициализирует последовательную коммуникацию через USB между Ардуино и компьютером, нам это понадобится
//определяем режимы пинов
pinMode(triggerPin, OUTPUT); // «triggerPin» будет использоваться для ВЫВОДА, номер пина объявлен выше в секции «Определяем переменные»
pinMode(echoPin, INPUT); // «echoPin» будет использоваться для ВВОДА, номер пина объявлен выше в секции «Определяем переменные»
} // конец настройки
// всё, что было написано выше считывается программой лишь один раз — при Запуске или Сбросе (Reset)
void loop() // код программы в зацикленной части считывается беспрестанно и повторяется до тех пор, пока не выключится питание, или пока не будет сделан сброс
{
digitalWrite(triggerPin, HIGH); //начинает подавать ультразвуковые волны с модуля HC-SR04
delay(5); // небольшая пауза, она нужна для того, чтобы модуль функционировал правильно (можно уменьшить это значение, другие мои программы работают при значении 1)
digitalWrite(triggerPin, LOW); //останавливает ультразвуковые волны, идущие от модуля HC-SR04
duration = pulseIn(echoPin, HIGH); //особая функция, позволяющая определить продолжительность времени, при котором на пин эха подавалось напряжение в последнем завершенном цикле подачи ультразвука
delay(10); // опять небольшая пауза. Она нужна для стабильности, слишком короткая пауза может не дать результата
distance = (duration/2) / 58; //преобразовываем продолжительность в расстояние (значение, сохранённое в «duration» делится на 2, затем это значение делится на 58**) ** для сантиметров
delay(500); // еще одна пауза для стабильности — можете поиграть со значением, но это может испортить работу программы, так что по умолчанию используйте 500
Serial.print(distance); //отправляет вычисленное значение расстояния на серийный монитор
Serial.println(» cm»); //добавляет слово «cm» после значения расстояния и переводит каретку на серийном мониторе на новую строку
Serial.println(); //добавляет пустую строку на серийном мониторе (для удобства чтения)
} // Конец цикла

_________________________________________________

Итак, после прочитывания моей инструкции я понял, что набросок программы не соответствует моему пониманию простоты. Поэтому я выкладываю тот же самый набросок с лёгкими комментариями.

// Программа модуля ультразвукового датчика расстояния HC-SC04
const int triggerPin = 7; //триггер на 7
const int echoPin = 8; // ECHO на 8
int duration = 0; // хранит значение из pulseIn
int distance = 0; // хранит значение рассчитанного расстояния
void setup()
{
Serial.begin(9600);
pinMode(triggerPin, OUTPUT); //определяет режимы пинов
pinMode(echoPin, INPUT);
}
void loop()
{
digitalWrite(triggerPin, HIGH); // начинает отправлять ультразвук
delay(5); //необходимая команда, настраивается (но не ниже 10микросекунд)
digitalWrite(triggerPin, LOW); // модуль прекращает отправлять ультразвук
duration = pulseIn(echoPin, HIGH); // определяет, как долго подавалось напряжение на пин ECHO
delay(10); //необходимая команда, настраивается, но аккуратно
distance = (duration/2) / 58; // высчитываем расстояние в см до объекта
delay(500); // пауза для стабильности, уменьшение может сломать ход программы, лучше оставить как есть
Serial.print(distance); // отправляет текущее значение, хранимое в distance на серийный монитор
Serial.println(» cm»); // отображает слово «cm» сразу после расстояния
Serial.println(); // создаёт одну пустую строку в серийном мониторе (для удобства чтения)
}

К инструкции я также приложу файлы.ino

Файлы

  1. HCSR04BareBones.ino — этот файл сильно закомментирован и содержит кое-какую информацию по модулю HC-SR04, а также инфу по сборке.
  2. BareBonesLight.ino — модуль с небольшим количеством комментариев

Вот мой совет. Я знаю, что код работает, но перед тем, как прикреплять файлы к инструкции, я перепроверил всё и серийный монитор стабильно показывал «0 cm». Проблема оказалась в сгоревшем модуле, а его замена исправила ситуацию.

Смотрите на то, как откликается программа, если решите поиграть со значениями команд delay. Опытным путём я обнаружил, что уменьшение значений delay или приравнивание их к 0 может привести программу к нерабочему состоянию.

После того, как вы настроили устройство, всё ограничивается лишь вашим воображением. Вы можете сверяться, что неподвижные объекты находятся на том же расстоянии и остаются неподвижными. Вы можете использовать монитор, чтобы получать уведомления о том, что какой-то объект передвинулся мимо датчика и т.д.

Схема выше использовалась мной для того, чтобы определять, что от датчика все объекты находятся на расстоянии дальше, чем 60 см. В проекте использовалось три диода и пищалка. Когда все предметы были дальше 60 см, горел зеленый диод. Когда что-то приближалось менее чем на 60см, зеленый диод гас, а красный загорался. Если объект оставался на близком расстоянии на какой-то время, то загорался второй красный диод, а пищалка начинала пищать. Когда объект удалялся на 60см, пищалка умолкала, красные диоды гасли, и снова загорался зеленый. Это не предотвращало все ложные тревоги, но работало с большинством случаев, когда мимо датчика пролетала птица или любопытная белка пробегала мимо.

Шаг 4: Известные проблемы

Если вы видите модель вашего ультразвукового модуля в этом пункте, то листайте ниже. Надеюсь, вы найдёте вашу проблему и решите её.

  1. US-105
  2. DYP-ME007TX

Модуль US-105

Ультразвуковой модуль US-105 использует вывод GPIO на пин ECHO, что подразумевает другие вычисления для определения расстояния. При выводе GPIO на пин ECHO, пин не удерживается под напряжением во время отправки волны. Вместо этого, при получении отраженного ультразвука на пин ECHO подается конкретное напряжение, которое пропорционально времени, потребовавшемуся ультразвуковой волне для того, чтобы отправиться и вернуться обратно на датчик. С этим модулем будет работать такой код:

// Код для ультразвукового модуля US-105 unsigned int EchoPin = 2; unsigned int TrigPin = 3; unsigned long Time_Echo_us = 0; //Len_mm_X100 = length*100 unsigned long Len_mm_X100 = 0; unsigned long Len_Integer = 0; // unsigned int Len_Fraction = 0; void setup() { Serial.begin(9600); pinMode(EchoPin, INPUT); pinMode(TrigPin, OUTPUT); } void loop() { digitalWrite(TrigPin, HIGH); delayMicroseconds(50); digitalWrite(TrigPin, LOW); Time_Echo_us = pulseIn(EchoPin, HIGH); if((Time_Echo_us 1)) { Len_mm_X100 = (Time_Echo_us*34)/2; Len_Integer = Len_mm_X100/100; Len_Fraction = Len_mm_X100%100; Serial.print("Растояние: "); Serial.print(Len_Integer, DEC); Serial.print("."); if(Len_Fraction < 10) Serial.print("0"); Serial.print(Len_Fraction, DEC); Serial.println("mm"); delay(1000); } // Конец программы

Модуль DYP-ME007TX

// Код для ультразвукового модуля DYP-ME007TX /* Инструкции по подключению * 5V от Ардуино к VCC на модуле * GNG от Ардуино к GND на модуле * OUT от модуля к цифровому пину 7 на Ардуино */ #include #define RXpin 7 #define TXpin 7 SoftwareSerial mySerial(RXpin, TXpin); long mili = 0; byte mybuffer = {0}; byte bitpos = 0; void setup() { Serial.begin(9600); mySerial.begin(9600); } void loop() { bitpos = 0; while (mySerial.available()) { if (bitpos < 4) { mybuffer = mySerial.read(); } else break; } mySerial.flush(); mili = mybuffer << 8 | mybuffer; Serial.print("Distance: "); Serial.print(mili / 25.4); Serial.print (" inches"); Serial.println(); delay(500); }
просмотров